問題詳情

38. Which codon is stop signals for protein synthesis?
(A) UAA
(B) UAG
(C) UGA
(D) All of the above

參考答案

答案:[無官方正解]
難度:計算中-1
書單:沒有書單,新增